View unanswered posts | View active topics It is currently Thu Mar 28, 2024 8:41 pm



Reply to topic  [ 14 posts ] 
 Resources for Newbie Verilog and VHDL People 
Author Message

Joined: Wed May 15, 2019 1:17 am
Posts: 25
I only learned VHDL and Verilog in the past few years. As a newbie, I'd highly recommend these resources if you are starting out:



Dan is also heavily into formal verification of designs, and I'd also highly recommend that as well.

Hope you find these useful.


Mon May 20, 2019 2:23 am
Profile
User avatar

Joined: Fri Mar 22, 2019 8:03 am
Posts: 328
Location: Girona-Catalonia
Hi Warren,

Yes, that's helpful. Thanks for sharing

Joan


Mon May 20, 2019 1:37 pm
Profile

Joined: Wed Jan 09, 2013 6:54 pm
Posts: 1780
Thanks Warren!

I've been seeking a clear and useful Verilog tutorial for some time. (I think part of the problem is that we want to use Verilog as a hardware description language, but it's designed as a hardware modelling language. I think we are best off, initially, if we just use well-tried patterns to express ourselves.)

Here are some links I've collected:



Sat May 25, 2019 4:44 pm
Profile

Joined: Tue Dec 11, 2012 8:03 am
Posts: 285
Location: California
I had a brief exposure to VHDL 20 years ago, but the project it was for got cancelled. I've never had any exposure to Verilog. How would you compare them, and under what circumstances, or for what applications, would you recommend one or the other?

_________________
http://WilsonMinesCo.com/ lots of 6502 resources


Sun May 26, 2019 1:13 am
Profile WWW

Joined: Wed May 15, 2019 1:17 am
Posts: 25
I'm still too new to both languages to give you a good comparison between them. I like Verilog more as it's got a C-like syntax and I'm used to that. Also, there's a lot of open source support for Verilog with tools like Icarus, Verilator and yosys.


Sun May 26, 2019 2:42 am
Profile

Joined: Wed Jan 09, 2013 6:54 pm
Posts: 1780
Verilog is the Fortran to VHDL's Algol. Verilog is more scrappy and has obscure corners, but stick to a simple coding style and you're more productive. Verilog is a C to VHDL's Java. In VHDL there's more internal consistency checking - it's a typed language - and more verbosity. You can probably get the last bug out of a complex VHDL design more rapidly than a complex Verilog design. All my professional experience was with teams working in Verilog, mostly making CPUs and SoCs. For products in telephony and consumer electronics, you'll see Verilog used. For aerospace, maybe, you'll see VHDL.

For progress to the 99% correct, Verilog will win. For progress to 100%, VHDL will win. (This isn't true, but it might be useful!)


Sun May 26, 2019 6:59 am
Profile

Joined: Tue Dec 18, 2018 11:25 am
Posts: 43
Location: Hampshire, UK.
I’m still deliberating over whether to get a FPGA development kit, and wondered if this book is any good:-
https://moodlearn.ariel.ac.il/pluginfile.php/1649872/mod_resource/content/0/%5BDavid_Harris%2C_Sarah_Harris%5D_Digital_Design_and_Co%28b-ok.xyz%29.pdf

Quote:
This book is unique in its side-by-side presentation of Verilog and VHDL, enabling the reader to quickly compare and contrast the two languages.


Tue Jan 14, 2020 7:39 pm
Profile

Joined: Wed Jan 09, 2013 6:54 pm
Posts: 1780
Looks like there's lot of good stuff in there. I see it goes bottom up so you get to learn about transistors first. Well, before that you do some binary and some logic. If you look at the side-by-sides on pages 445 and following you'll see how VHDL is much more verbose than Verilog. (For some people and some purposes that might be an advantage.)


Tue Jan 14, 2020 7:52 pm
Profile
User avatar

Joined: Fri Mar 22, 2019 8:03 am
Posts: 328
Location: Girona-Catalonia
Hi B.Bibby,

I purchased this book some time ago (I didn't know it could be downloaded for free as a pdf file (?)) and based on my knowledge it is an excellent book. It covers digital logic and digital systems starting from the very basics until it eventually enters into hardware description languages and microprocessor design. It is very well written, easy to read, with an incremental approach to newly introduced concepts, and plenty of useful diagrams, examples and exercise proposals. Hardware Description Languages are covered to a rather comprehensive level, including the implementation code of a pipelined MIPS processor, but it is not a specific book about them. If you are already familiar with digital logic design, have all the required concepts consolidated, and you search for a book for teaching you Verilog or VHDL, I suppose there may be other books more focused on that particular subject. Said that, this book is still very good for what it covers which is exactly what its title implies.


Last edited by joanlluch on Tue Jan 14, 2020 10:11 pm, edited 1 time in total.



Tue Jan 14, 2020 8:47 pm
Profile

Joined: Mon Oct 07, 2019 2:41 am
Posts: 585
I have a DE1 board, so can use Altera's AHDL rather what I feel both are poorly defined
languages. There seem to be better hardware design languages, but they never made it out
the lab. I too am looking for notes on the verlog and vhdl, as I find them too verbose,
and confusing in the sense where is the prototype and where do you find what the logic is generating
as real gates.


Tue Jan 14, 2020 9:35 pm
Profile

Joined: Tue Dec 18, 2018 11:25 am
Posts: 43
Location: Hampshire, UK.
An on-Line Verilog IDE and simulator.
https://8bitworkshop.com/v3.5.0/?platform=verilog&file=clock_divider.v

I’m wondering if this would be a useful tool for trying out text book examples, before I commit to buying an FPGA Development Board? (... like this cheap Chinese board ...https://www.aliexpress.com/item/32858413588.html?)

Quote:
Use our Verilog IDE to design logic circuits in the browser. We'll run your design in real time in the browser, and show you the output on a simulated CRT. You can also slow down time and see the waveforms cycle-by-cycle.

Plenty of examples are included to teach logic programming, from simple counters and dividers all the way to custom CPUs and an 8-bit game platform. The book even shows you how to synthesize your code to the Lattice iCE Stick FPGA and connect to a CRT or TV.


Found one of the accompanying books here https://b-ok.cc/book/3710272/58724c

P.S. It also allows you to enter and run machine code in emulations of many old game consoles and a few computers including an Apple clone using Arlet Ottens’ Verilog model of a 6502 CPU.


Thu Apr 02, 2020 10:39 pm
Profile

Joined: Wed Nov 20, 2019 12:56 pm
Posts: 92
B.Bibby wrote:
An on-Line Verilog IDE and simulator.
https://8bitworkshop.com/v3.5.0/?platform=verilog&file=clock_divider.v

I’m wondering if this would be a useful tool for trying out text book examples, before I commit to buying an FPGA Development Board? (... like this cheap Chinese board ...https://www.aliexpress.com/item/32858413588.html?)


That site is really cool - definitely a usefull learning tool. Have fun with it!

When you do decide to buy an FPGA board, I'd strongly recommend spending a bit more if you can, and buying something more capable. The board you've linked to looks generally good except the FPGA has only around 6,000 logic elements - I think you'll outgrow that pretty quickly. (For example, the TG68K MC68000 compatible CPU core which powers many variants of the Minimig core needs about that many just for the CPU - the whole core requires around 20,000.)

Even as a beginner, with relatively small simple projects, there's one very good argument for having as large an FPGA as you can possibly afford: SignalTap. The logic analyzer that comes with Altera's / Intel's tools is an amazing debugging tool - you can capture a trace of signals running within your actual project, and then display them as a waveform, similar to the site you linked to above. The larger your FPGA the more signals you can capture, and for longer.

If you're interested in learning from tinkering with cores other people have published, there's a lot to be said for having a more well-known, mainstream board.


Fri Apr 03, 2020 7:41 am
Profile

Joined: Wed Jan 09, 2013 6:54 pm
Posts: 1780
There's a long thread over on 6502.org about FPGA dev boards - the latest posts at time of writing are relatively up to date:
http://forum.6502.org/viewtopic.php?f=10&t=1787

You can certainly write verilog and simulate it without buying a board, and you can also download and run the tools before you buy a board - this will tell you how large your design is, and might tell you that you have a strong preference for one or other of the manufacturers based on their tool. For Lattice FPGAs there are open source tools too.


Fri Apr 03, 2020 8:57 am
Profile

Joined: Sat Jun 16, 2018 2:51 am
Posts: 50
Random resources (videos) I've found helpful:

Courses by Columbia Gorge Community College

Introduction to FPGA and CPLD (by PyroElectro)

Videos by nandland


Tue Jun 16, 2020 9:33 pm
Profile
Display posts from previous:  Sort by  
Reply to topic   [ 14 posts ] 

Who is online

Users browsing this forum: No registered users and 4 guests


You cannot post new topics in this forum
You cannot reply to topics in this forum
You cannot edit your posts in this forum
You cannot delete your posts in this forum
You cannot post attachments in this forum

Search for:
Jump to:  
cron
Powered by phpBB® Forum Software © phpBB Group
Designed by ST Software